Sha256: ee9ea1fd184474f2c262e1f6912f1ebce9c759d2c491435b0215c1889bceb63c

Contents?: true

Size: 401 Bytes

Versions: 68

Compression:

Stored size: 401 Bytes

Contents

entity toggle_demo is
	port (
		clk_in : in  std_logic; -- System Clock
		data_q : out std_logic	-- Toggling Port
	);
end entity toggle_demo;

architecture RTL of toggle_demo is
	signal data : std_logic := '0';
begin

	data_q <= data;

	data_proc : process (clk_in)
	begin
	
		if (rising_edge(clk_in)) then
			data <= not data;
		end if;
	
	end process; 

end architecture RTL;

Version data entries

68 entries across 66 versions & 8 rubygems

Version Path
tdiary-5.0.5 vendor/bundle/gems/rouge-2.1.1/lib/rouge/demos/vhdl
tdiary-5.0.5 vendor/bundle/gems/rouge-2.0.7/lib/rouge/demos/vhdl
tdiary-5.0.5 vendor/bundle/gems/tdiary-5.0.4/vendor/bundle/gems/rouge-2.0.7/lib/rouge/demos/vhdl
rouge-2.1.1 lib/rouge/demos/vhdl
rouge-2.1.0 lib/rouge/demos/vhdl
tdiary-5.0.4 vendor/bundle/gems/rouge-2.0.7/lib/rouge/demos/vhdl
rouge-2.0.7 lib/rouge/demos/vhdl
rouge-2.0.6 lib/rouge/demos/vhdl